首页

搜索 繁体

第三章:临朝迟被B70028号系统用手指开b(2 / 2)

震惊于系统不让他爽还要折磨加倍。

临朝迟觉得这简直就是天怒人怨骂道:“傻逼!傻逼不许加了,t的你是不是人啊啊!”

“您好,我并不是您认知的人类,准确来说我只是一个人造产物,确实不是人类。”b70028号即答。

“神经病!呜呜呜呜呜,你让我射,让我射吧,求你了。”临朝迟刚硬起来没多久,就屈服于那手指的淫威之下了。

而b70028号看临朝迟似乎是哭了,也松开了那堵着肉棒吐精口的手指。

临朝迟如愿以偿的射了出来,不过后穴的手指依旧在活动着,已经抽插出了水声,噗滋噗滋的。听得人面红耳赤。

临朝迟的前面虽然爽了,但也进入贤者时间,后穴带来的快感似乎是怎么也攀不到峰顶,临朝迟也只能嗯啊嗯啊的叫。

在射出来的瞬间,临朝迟脑海里想过很多事,但都无法组成一道完整的逻辑线,便又被后穴带来的快感给席卷进去了。

热门小说推荐

最近入库小说